国产在线导航,欧美日本中文,黄色在线观看网站永久免费乱码,chinese国产在线视频,亚洲欧洲第一视频,天天做人人爱夜夜爽2020毛片,亚洲欧美中文字幕在线网站

現(xiàn)在位置:范文先生網(wǎng)>理工論文>電子通信論文>ISP技術(shù)在高速數(shù)據(jù)采集模塊中的應(yīng)

ISP技術(shù)在高速數(shù)據(jù)采集模塊中的應(yīng)

時(shí)間:2023-02-20 23:37:15 電子通信論文 我要投稿
  • 相關(guān)推薦

ISP技術(shù)在高速數(shù)據(jù)采集模塊中的應(yīng)

摘要:提出了一種基于ISP技術(shù)實(shí)現(xiàn)高速數(shù)據(jù)采集的方法,給出了使用VHDL語(yǔ)言和原理圖完成數(shù)據(jù)采集模塊中地址發(fā)生器和比較電路的部分邏輯設(shè)計(jì),只要將所設(shè)計(jì)的程序下載到可編程器件ispLSI2032中即可實(shí)現(xiàn)預(yù)期功能。同時(shí),ispLSI2032器件的高密度和可編程性也提高了硬件電路的集成性、可靠性及保密性。

    關(guān)鍵詞:ISP(在系統(tǒng)可編程);VHDL;高速采集;ispLSI2032

隨著深亞微米及納米半導(dǎo)體制造技術(shù)的進(jìn)步,可編程邏輯器件在電路設(shè)計(jì)中的應(yīng)用已十分廣泛。ISP(在系統(tǒng)可編程)器件是先進(jìn)的可編程器件,(范文先生網(wǎng)www.qkfawen.com收集整理)它的優(yōu)點(diǎn)是不需要編程器即可直接對(duì)安裝在用戶目標(biāo)板上的ISP器件進(jìn)行編程,而且編程、調(diào)試都很方便。當(dāng)產(chǎn)品升級(jí)換代時(shí),只要通過軟件對(duì)ISP器件重新編程即可,便可使其具有新的邏輯功能,而不需要增加硬件投入。

目前,ISP芯片內(nèi)部資源越來(lái)越多,速度也越來(lái)越快,開發(fā)的軟件功能也更加完善。VHDL就是隨著PLD發(fā)展起來(lái)的一種硬件描述語(yǔ)言,是一種應(yīng)用于電路設(shè)計(jì)的高層次描述語(yǔ)言。本文將介紹一種在高速數(shù)據(jù)采集模塊中部分電路采用ISP技術(shù)進(jìn)行設(shè)計(jì)的方法。

1 高速數(shù)據(jù)采集模塊的實(shí)現(xiàn)

高速數(shù)據(jù)采集模塊的系統(tǒng)框圖如圖1所示。圖中的ispLSI2032是整個(gè)數(shù)據(jù)采集系統(tǒng)的控制核心?它內(nèi)部包括了地址信號(hào)產(chǎn)生、鎖存、ADC轉(zhuǎn)換數(shù)據(jù)的比較、數(shù)據(jù)存儲(chǔ)器的讀寫控制以及大部分控制邏輯?晶振電路產(chǎn)生的12MHz時(shí)鐘可直接在is-pLSI2032內(nèi)部進(jìn)行2分頻以得到6MHz的采樣時(shí)鐘。高速數(shù)據(jù)緩存部分由兩片SRAM構(gòu)成?該SRAM可提供自己的地址線、數(shù)據(jù)線和控制線。兩個(gè)端口可分別與ispLSI2032和單片機(jī)的P0口連接。用is-pLSI2032可遞增RAM的地址?同時(shí)可提供寫入脈沖以將A/D轉(zhuǎn)換數(shù)據(jù)寫入RAM。當(dāng)A/D轉(zhuǎn)換的數(shù)據(jù)超出某一上下限時(shí),系統(tǒng)會(huì)將地址數(shù)據(jù)寫入is-pLSI2032內(nèi)部的鎖存器中?并在其后打開鎖存,同時(shí)將地址送到單片機(jī)的P0口,單片機(jī)由此地址讀出RAM中相應(yīng)地址的數(shù)據(jù),并通過RS232口傳送到PC機(jī)或其它外設(shè)。

圖2所示是該數(shù)據(jù)采集系統(tǒng)的基本硬件電路圖。圖中的A/D轉(zhuǎn)換芯片選用的是美國(guó)MAXIM公司的12位A/D轉(zhuǎn)換器MAX120,它有全控制模式、獨(dú)立模式、慢存儲(chǔ)模式、ROM模式和連續(xù)轉(zhuǎn)換模式5種工作模式。在此電路中,MAX120工作于連續(xù)轉(zhuǎn)換模式, 由于MAX120芯片的MODE=DGND,因此,它的INT/BUSY為BUSY輸出。單片機(jī)啟動(dòng)轉(zhuǎn)換時(shí),INT/BUSY變?yōu)榈碗娖剑瑫r(shí)將INT0(P3.2)置低,以使計(jì)數(shù)器的計(jì)數(shù)狀態(tài)與MAX120的INT/BUSY信號(hào)一致,也就是說,每轉(zhuǎn)換完一次,計(jì)數(shù)器就加以產(chǎn)生新的存儲(chǔ)器地址;轉(zhuǎn)換結(jié)束后,INT/BUSY轉(zhuǎn)變?yōu)楦唠娖,?shù)據(jù)在引腳D0~D11處有效,此時(shí)WE信號(hào)為低,存儲(chǔ)器寫端口打開,并將ADC所轉(zhuǎn)換的數(shù)據(jù)寫入與計(jì)數(shù)器所產(chǎn)生地址對(duì)應(yīng)的存儲(chǔ)單元。繼而INT/BUSY信號(hào)再次變低, MAX120進(jìn)入下一次轉(zhuǎn)換。直到采集的數(shù)據(jù)超出某一上下限,ADC模塊中的比較器產(chǎn)生一信號(hào)使單片機(jī)外部中斷,進(jìn)而轉(zhuǎn)入中斷數(shù)據(jù)處理。其后單片機(jī)將讀取存儲(chǔ)在鎖存器中的地址信號(hào),并將其存儲(chǔ);同時(shí)由此地址讀出存儲(chǔ)在存儲(chǔ)器中的超出上下限的數(shù)據(jù)。單片機(jī)定時(shí)取數(shù)時(shí),先將INT0(P3.2)置高,此時(shí)地址產(chǎn)生器的累加由單片機(jī)控制(通過T0口,即P3.4)。單片機(jī)控制計(jì)數(shù)器重新計(jì)數(shù)并產(chǎn)生地址數(shù)據(jù),產(chǎn)生的地址送到單片機(jī)P0口,并由此地址讀取存儲(chǔ)器中相應(yīng)地址的數(shù)據(jù),最后通過RS232口傳送到PC或其它外設(shè)。

圖2

2。椋螅穑蹋樱桑玻埃常 的結(jié)構(gòu)原理

2.1 ispLSI2032的主要結(jié)構(gòu)

ispLSI2032的結(jié)構(gòu)主要包括全局布線區(qū)、萬(wàn)能邏輯模塊、輸出布線區(qū)、輸入總線和巨塊五個(gè)部分。其中全局布線區(qū)(Global Routing Pool)位于芯片中央,它將所有片內(nèi)邏輯聯(lián)系在一起,其輸入輸出之間的延遲是恒定和可預(yù)知的。GRP在延時(shí)恒定并且可預(yù)知的前提下,提供了完善的片內(nèi)互連性能。這種獨(dú)特的互連性保證了芯片的高性能,從而可容易地實(shí)現(xiàn)各種復(fù)雜的設(shè)計(jì)。

萬(wàn)能邏輯模塊是該器件的基本邏輯單元,它由邏輯陣列、乘積項(xiàng)共享陣列、輸出邏輯宏單元和控制邏輯組成。當(dāng)乘積項(xiàng)共享陣列將乘積項(xiàng)分配給或門后,可通過一個(gè)可編程的與/或/異或陣列輸出來(lái)控制該單元中的觸發(fā)器,從而使乘積項(xiàng)的共享更加靈活。每個(gè)輸出邏輯宏單元有專用的觸發(fā)器,每個(gè)觸發(fā)器與其它可組態(tài)電路的連接類似GAL的OLMC,也可以被設(shè)置為組合輸出或寄存器輸出。片內(nèi)靈活的時(shí)鐘分配網(wǎng)絡(luò)可進(jìn)一步加強(qiáng)GLB的能力。每一個(gè)GLB的時(shí)鐘信號(hào)既可選用全局同步時(shí)鐘,也可選用片內(nèi)生成的異步乘積項(xiàng)時(shí)鐘。

輸出布線區(qū)是介于GLB和IOC之間的可編程互連陣列,通過對(duì)該區(qū)的編程可以將任一個(gè)GLB輸出靈活地送到I/O端口的某一個(gè)上,以便在不改變外部管腳排列的情況下,修改片內(nèi)邏輯電路的結(jié)構(gòu)。

輸入輸出單元(Input Output Cell)中的輸入、輸出或雙向信號(hào)與具體的I/O引腳相連接,可以構(gòu)成輸入、輸出、三態(tài)輸出的I/O口。

巨塊是GLB及其對(duì)應(yīng)的ORP、IOC的總稱。Is-pLSI2032中有兩個(gè)巨塊,通常分布在全局布線區(qū)的兩側(cè)。每個(gè)巨塊均包含GLB、I/O口和專用輸入端,其中專用輸入端不經(jīng)鎖存器即可直接輸入。它們均可在軟件

分配下供本巨塊內(nèi)的GLB使用。

2.2 ispLSI2032的工作過程

外部信號(hào)一般通過I/O單元引導(dǎo)全局布線區(qū),全局布線區(qū)主要完成任意I/O端到任意GLB的互連、任意GLB間的互連以及各輸入I/O信號(hào)到輸出布線區(qū)的連接。器件的所有功能均可由一個(gè)GLB或多個(gè)GLB級(jí)聯(lián)完成。在設(shè)計(jì)中,筆者使用的是ispLEVER軟件,它包含有Lattice編譯器、頂層項(xiàng)目管理器、設(shè)計(jì)輸入編輯器等?同時(shí)還包括Lattice門級(jí)功能和實(shí)時(shí)仿真器,因而能夠?qū)υ韴D、VHDL或Abel-HDL語(yǔ)言進(jìn)行仿真?其設(shè)計(jì)流程如圖3所示。

3。椋螅穑蹋樱桑玻埃常苍谙到y(tǒng)中的應(yīng)用

3.1 硬件選擇

ispLSI器件可分為5大系列,它們的密度、速度以及寄存器數(shù)目各不相同。而ispLSI2032速度高達(dá)154MHz,是同行業(yè)中速度最高的CPLD器件,通過其在系統(tǒng)可編程(ISP)功能可在印刷電路板上對(duì)邏輯器件進(jìn)行編程或改寫。ispLSI2032的內(nèi)部電路總體框圖如圖4所示。

其中LOGIC9是地址發(fā)生電路的邏輯控制部分,COUN11是地址發(fā)生電路部分,GLF2是地址鎖存部分。地址發(fā)生電路與地址鎖存電路是實(shí)現(xiàn)A/D轉(zhuǎn)換后數(shù)據(jù)存儲(chǔ)的關(guān)鍵部件,它可向存儲(chǔ)器SRAM6264提供寫入操作的順序地址和讀出操作的實(shí)時(shí)地址,同時(shí)可提供地址計(jì)數(shù)器的清零信號(hào)和兩片數(shù)據(jù)鎖存器的方向控制信號(hào)。

3.1 程序設(shè)計(jì)

在應(yīng)用IspLSI2032進(jìn)行系統(tǒng)設(shè)計(jì)時(shí),可采用原理圖和VHDL混合輸入的方法。地址產(chǎn)生電路中COUN11可由兩個(gè)4位同步二進(jìn)制計(jì)數(shù)器級(jí)聯(lián)構(gòu)成。地址發(fā)生電路的邏輯控制部分LOGIC9、地址鎖存部分的邏輯控制部分和地址鎖存部分GLF2可由VHDL語(yǔ)言來(lái)進(jìn)行設(shè)計(jì),下面給出GLF2的程序設(shè)計(jì)代碼:

library ieee;

use ieee.std_logic_1164.all;

entity glf2 is

port(a0,a1,a2,a3,a4,a5,a6,a7: in std_logic;

b0,b1,b2,b3,b4,b5,b6,b7: in std_logic;

oc1,c,oc2: in std_logic;

Q0,Q1,Q2,Q3,Q4,Q5,Q6,Q7:out std_logic);

end glf2;

architecture exam of glf2 is

begin

process(oc1,c,oc2)

begin

if(oc1=‘0' and c=‘1' and oc2=‘1') then

Q0<=a0;Q1<=a1;Q2<=a2;Q3<=a3;Q4<=a4;Q5<=a5;Q6<=a6;Q7<=a7;

elsif(oc1=‘1' and c=‘1' and oc2=‘0') then

Q0<=b0;Q1<=b1;Q2<=b2;Q3<=b3;Q4<=b4;Q5<=b5;Q6<=b6;Q7<=b7;

end if;

end process;

end exam;

圖4

4 編程設(shè)計(jì)

將設(shè)計(jì)階段生成的熔絲圖JEDEC文件裝入到器件中去的過程稱為編程(也稱為下載、配置)。對(duì)在系統(tǒng)可編程邏輯器件,可使用ISP菊花鏈下載編程軟件(ispVM)來(lái)進(jìn)行編程,筆者采用Lattice公司最新推出的一套EDA軟件—ispLEVER,同時(shí)采用原理圖、硬件描述語(yǔ)言、混合輸入三種方式來(lái)對(duì)所設(shè)計(jì)的數(shù)字電子系統(tǒng)進(jìn)行功能仿真和時(shí)序仿真?然后通過計(jì)算機(jī)的并口和專用下載電纜直接對(duì)器件進(jìn)行編程,最后對(duì)編程結(jié)果進(jìn)行檢查并最終通過。



【ISP技術(shù)在高速數(shù)據(jù)采集模塊中的應(yīng)】相關(guān)文章:

ADμC812的數(shù)據(jù)采集子系統(tǒng)及其ISP技術(shù)08-06

CPLD在多路高速同步數(shù)據(jù)采集系統(tǒng)中的應(yīng)用08-06

基于USB總線的高速數(shù)據(jù)采集系統(tǒng)08-06

焊縫檢測(cè)系統(tǒng)中PCI總線高速數(shù)據(jù)采集卡的設(shè)計(jì)08-06

高速數(shù)據(jù)采集系統(tǒng)中高速緩存與海量緩存的實(shí)現(xiàn)08-06

高速DSP數(shù)據(jù)采集的信號(hào)完整性問題08-06

USB接口的高速數(shù)據(jù)采集卡的設(shè)計(jì)與實(shí)現(xiàn)08-06

換體DMA高速數(shù)據(jù)采集電路的CPLD實(shí)現(xiàn)08-06

基于USB2.0的高速同步數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)08-06